Design and implement a 8-bit resetable up count, that stops counting when max is reached. The ports are: module counter( output u8_t count, input u8_t max, input logic clk, reset); The u8_t type is defined in the test bench. count is the counter's output. The counter should increment by one for even positive edge clock until the max is reached. The counter should not increment when max is reached. The counter is reset if reset = 1 when a positive edge clock occurs. The 8-bit comparator module, cmp, must be used to check when max is reached. The test bench will set max to 150 for its testing.

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question

Behavioural Up Counter With Max

Design and implement a 8-bit resetable up count, that stops counting when max is reached. The ports are:

module counter( output u8_t count, input u8_t max, input logic clk, reset);

The u8_t type is defined in the test bench. count is the counter's output. The counter should increment by one for even positive edge clock until the max is reached. The counter should not increment when max is reached. The counter is reset if reset = 1 when a positive edge clock occurs.

The 8-bit comparator module, cmp, must be used to check when max is reached. The test bench will set max to 150 for its testing.

 

 

Editor
Test Bench
Simulation Output
Run
// include cmp module
module counter( output u8 t count, input u8 t max,
input logic clk, reset);
logic m_test;
cmp test(m_test, count, max);
// complete the rest
endmodule
Transcribed Image Text:Editor Test Bench Simulation Output Run // include cmp module module counter( output u8 t count, input u8 t max, input logic clk, reset); logic m_test; cmp test(m_test, count, max); // complete the rest endmodule
Expert Solution
steps

Step by step

Solved in 2 steps

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY